συστήματα

* Γνώση Υπολογιστών >> συστήματα >> Βασικές Δεξιότητες Πληροφορικής

Πώς να μετατρέψετε Binary Mux to One Hot

Binary γλώσσα χρησιμοποιείται στον προγραμματισμό ηλεκτρονικών υπολογιστών για τη δημιουργία λογισμικού ή κώδικα εφαρμογές για τα Windows Visual Basic και το λειτουργικό σύστημα των Windows . Οι δυαδικοί φορείς μπορούν να έχουν πολλαπλές αξίες που μπορεί να προκαλέσει σύγχυση και να οδηγήσει σε πολλά παράθυρα κωδικοποίησης στον υπολογιστή σας . Αν θα θέλατε να μετατρέψει δυαδικά διανύσματα σας σε ένα ζεστό σύνολο εισόδου , ​​μπορείτε να το κάνετε με έναν κωδικό μέσω του τερματικού ή εντολών του υπολογιστή σας . Μόλις εφαρμοστεί , θα είστε σε θέση να μετατρέψει δυαδικό mux φορέα σας σε ένα hot line . Οδηγίες
Η 1

Ανοίξτε τερματικό ή γραμμή εντολών σας μέσα από το "Προγράμματα" λίστα στο κουμπί σας "Start " 2
Τύπος

: .

Ieee βιβλιοθήκη ? χρησιμοποιήσετε ieee.std_logic_1164.all ? χρησιμοποιήσετε ieee.numeric_std.all ?

οντότητα onehot_binary iSport ( onehot_in : σε std_logic_vector ? binary_out : Αναχώρηση std_logic_vector ) ? beginassert 2 ** binary_out'length = onehot_in'length αποτυχία σοβαρότητα?

τέλος?

RTL αρχιτεκτονική της onehot_binary είναι

one_hot_to_binary λειτουργία ( One_Hot : std_logic_vector ? μέγεθος : φυσικό ) std_logic_vector επιστροφής είναι

μεταβλητή Bin_Vec_Var : std_logic_vector ( μέγεθος - 1 downto 0 ) ?

αρχίσει

Bin_Vec_Var : = ( άλλοι = > '0 ')?

γιατί σε One_Hot'range loopif One_Hot ( Ι) = thenBin_Vec_Var '1 ' : = Bin_Vec_Var ή std_logic_vector ( to_unsigned ( Ι, μεγέθους ) ) ? τέλος, αν ? τέλος βρόχο ? επιστρέψει Bin_Vec_Var ? τέλος λειτουργία?

αρχίσει

binary_out <= one_hot_to_binary ( onehot_in , binary_out'length ) ?

τέλος?
εικόνων 3

Πατήστε το κουμπί "Enter" για να αντικαταστήσει τα δυαδικά Μούσες στο σύστημά σας σε ένα ζεστό ακροδέκτη εισόδου <. br >

Η

Συναφής σύστασή

Πνευματικά δικαιώματα © Γνώση Υπολογιστών Όλα τα δικαιώματα κατοχυρωμένα